Products

10M08SAU169C8G Mifandraisa amin'ny serivisy mpanjifa (21+ fivarotana toerana)

Famaritana fohy:

Laharana ampahany Boyad: 544-3135-ND
mpanamboatra: Intel
Mpanamboatra vokatra: 10M08SAU169C8G
famaritana: IC FPGA 130 I/O 169UBGA
Famaritana amin'ny antsipiriany: andiany Field Programmable Gate Array (FPGA) IC 130 387072 8000 169-LFBGA
Laharan'ny ampahany anatiny mpanjifa
Specifications: Famaritana


Product Detail

Tags vokatra

fananana vokatra

TYPE HAZAVAO
sokajy Circuit Integrated (IC)
Tafiditra - FPGA (Field Programmable Gate Array)
mpanamboatra Intel
andian-dahatsoratra MAX® 10
Package lovia
sata vokatra ao amin'ny staoky
Isan'ny LAB/CLB 500
Isan'ny singa/singa lojika 8000
Total bits RAM 387072
I/O isa 130
Voltage - Powered 2.85V ~ 3.465V
karazana fametrahana Karazana tendrombohitra ambonin'ny tany
Temperature miasa 0°C ~ 85°C (TJ)
Package / Enclosure 169-LFBGA
Fonosana fitaovana mpamatsy 169-UBGA (11x11)

mitatitra bibikely
Fikarohana Parametric Vaovao

Documentation sy Media

KARAZANA RESOURCE ROHINY
fepetra arahana MAX 10 FPGA Overview Takelaka data MAX10FPGA
Module fanofanana vokatra MAX10 Fanaraha-maso môtô amin'ny fampiasana FPGA tsy mivadibadika mora vidy tokana  MAX10 mifototra amin'ny System Management
vokatra asongadina T-Core PlatformEvo M51 Compute Module Hinj™ FPGA Sensor Hub sy Kit Fampandrosoana XLR8: Arduino Compatible FPGA Development Board
PCN Design/Specification Max10 Pin Guide 3/Dec/2021Mult Dev Software Chgs 3/Jun/2021
PCN fonosana Mult Dev Label Chgs 24/Feb/2020Mult Dev Label CHG 24/Jan/2020
HTML Specifications MAX 10 FPGA OverviewTakelaka data MAX10FPGA
Modely EDA/CAD 10M08SAU169C8G avy amin'ny SnapEDA

Fanasokajiana ny tontolo iainana sy ny fanondranana

toetra HAZAVAO
Sata RoHS RoHS mifanaraka
Ambaratonga fahatsapan'ny hamandoana (MSL) 3 (168 ora)
sata REACH vokatra tsy REACH
ECCN 3A991D
HTSUS 8542.39.0001

Fanamafisana mipetaka sy Fanohanana fanodinana famantarana nomerika
Fampidirana ivelany 17 tokana tokana
ho an'ny fitaovana ADC tokana
Analog iray natokana ho an'ny pin 16 roa
Hatramin'ny 18 tokan-tena ivelany fampidirana
ho an'ny fitaovana ADC roa
• Analog iray natokana ho an'ny zana-tsipìka fidirana anankiroa sy valo isaky ny ADC
• Fahaizana fandrefesana miaraka amin'ny fitaovana ADC roa
Ny sensor mari-pana amin'ny chip dia manara-maso ny fampidirana angon-drakitra momba ny hafanana ivelany miaraka amin'ny tahan'ny santionany hatramin'ny 50
kilosamples isan-tsegondra
User Flash Memory
Ny sakana mpampiasa flash memory (UFM) ao amin'ny fitaovana Intel MAX 10 dia mitahiry tsy miovaova
fampahalalana.
Ny UFM dia manome vahaolana fitahirizana tsara indrindra azonao idirana amin'ny alàlan'ny protocol interface interface andevo Avalon Memory Mapped (Avalon-MM).
Fanamafisana mipetaka sy Fanohanana fanodinana famantarana nomerika
Ny fitaovana Intel MAX 10 dia manohana hatramin'ny 144 bloc multiplier tafiditra.Isaky ny sakana
manohana olona iray 18 × 18-bit multiplier na roa tsirairay 9 × 9-bit multiplier.
Miaraka amin'ny fampifangaroana loharano on-chip sy interface ivelany ao amin'ny Intel MAX 10
fitaovana, azonao atao ny manangana rafitra DSP amin'ny fampisehoana avo lenta, ny vidin'ny rafitra ambany ary ambany
fanjifana herinaratra.
Azonao atao ny mampiasa ny fitaovana Intel MAX 10 samirery na amin'ny maha-mpiara-miasa amin'ny fitaovana DSP
manatsara ny tahan'ny vidin-javatra amin'ny rafitra DSP.
Azonao atao ny mifehy ny fiasan'ny bloc multiplier tafiditra amin'ny fampiasana ireto manaraka ireto
safidy:
• Ampifanaraho amin'ny tonian-dahatsoratry ny parameter Intel Quartus Prime ireo cores IP mifandraika
• Ampifanaraho mivantana amin'ny VHDL na Verilog HDL ny multipliers
Ny endri-drafitra rafitra nomena ho an'ny fitaovana Intel MAX 10:
• DSP IP cores:
- Fampandehanana fanodinana DSP mahazatra toy ny valin'ny impulse voafetra (FIR), haingana
Fourier transform (FFT), ary ny oscillator voafehy (NCO).
- Suite amin'ny fiasa mahazatra fanodinana horonan-tsary sy sary
• Famolavolana references feno ho an'ny fampiharana amin'ny tsena farany
• DSP Builder ho an'ny fitaovana interface tsara Intel FPGAs eo amin'ny Intel Quartus Prime
rindrambaiko sy ny tontolo famolavolana MathWorks Simulink sy MATLAB
• Kitapo fampandrosoana DSP
Blocks fitadidiana natambatra
Ny firafitry ny fitadidiana tafiditra dia misy tsanganana blocs M9K.M9K tsirairay
Ny sakana fitadidiana ny fitaovana Intel MAX 10 dia manome fitadidiana 9 Kb amin'ny chip izay afaka
miasa hatramin'ny 284 MHz.Ny rafitra fitadidiana napetraka dia misy M9K
fitadidiana manakana tsanganana.Ny sakana fitadidiana M9K tsirairay amin'ny fitaovana Intel MAX 10 dia manome
9 Kb fahatsiarovana amin'ny chip.Azonao atao ny mampiakatra ireo sakana fitadidiana mba hivelatra kokoa na lalindalina kokoa
rafitra lojika.
Azonao atao ny manamboatra ny sakana fahatsiarovana M9K ho RAM, FIFO buffer, na ROM.
Ny sakana fitadidiana fitaovana Intel MAX 10 dia natao ho an'ny fampiharana toy ny avo
fanodinana packet throughput, programa processeur embedded, ary data embedded
fitahirizana.


  • teo aloha:
  • Manaraka:

  • Avelao ny hafatrao

    Vokatra mifandraika

    Avelao ny hafatrao