Products

SPC5604BF2MLH4 (fitsipika momba ny fiara tsy ampy)

Famaritana fohy:

Ampahany Boyad: SPC5604BF2MLH4-ND

mpanamboatra:NXP USA Inc.

Mpanamboatra: SPC5604BF2MLH4

famaritana: IC MCU 32BIT 512KB FLASH 64LQFP

Fotoana fanaterana mahazatra orinasa tany am-boalohany: herinandro 39

Famaritana amin'ny antsipiriany:e200z0h andiany microcontroller IC 32-bit tokana tokana 48MHz 512KB (512K x 8) Flash 64-LQFP (10×10)

Laharan'ny ampahany anatiny mpanjifa

 


Product Detail

Tags vokatra

fananana vokatra:

TYPE HAZAVAO
sokajy Circuit Integrated (IC) Embedded - Microcontrollers
mpanamboatra NXP USA Inc.
andian-dahatsoratra Ampahany MPC56xx
Package lovia
sata vokatra ao amin'ny staoky
processeur fototra e200z0h
Famaritana ny kernel 32-bit tokana fototra
hafainganam-pandeha 48MHz
fifandraisana CANbus, I²C, LIN, SCI, SPI
peripherals DMA, POR, PWM, WDT
Isan'ny I/O 45
Ny fahafaha-mitahiry programa 512 Koo(512K x 8)
Karazana fahatsiarovana fandaharana Flash
EEPROM fahafahana 64k x8
Haben'ny RAM 32k x8
Voltage - Famatsiana herinaratra (Vcc/Vdd) 3V ~ 5.5V
mpanova data A/D 12x10b
Karazana Oscillator anaty
Temperature miasa -40°C ~ 125°C (TA)
karazana fametrahana Karazana tendrombohitra ambonin'ny tany
Package / Enclosure 64-LQFP
Fonosana fitaovana mpamatsy 64-LQFP(10x10)
Laharana vokatra fototra SPC5604

Fanasokajiana ny tontolo iainana sy fanondranana:

toetra HAZAVAO
Sata RoHS Mifanaraka amin'ny fepetra arahana ROHS3
Ambaratonga fahatsapan'ny hamandoana (MSL) 3 (168 ora)
sata REACH vokatra tsy REACH
afa-mandositra 3A991A2
HTSUS 8542.31.0001

Famaritana ankapobeny:
dia mamintina ny asan'ny sakana rehetra misy ao amin'ny andian-dahatsoratra MPC5604B/C microcontrollers.
Mariho fa ny fisiana sy ny isan'ny sakana dia miovaova arakaraka ny fitaovana sy ny fonosana
mpanova Analog-to-digital (ADC) Multi-channel, 10-bit analog-to-digital converter
Boot assist module (BAM) Boky fitadidiana vakiana fotsiny misy kaody VLE izay tanterahina araka izany
mankany amin'ny fomba boot ny fitaovana
Clock monitor unit (CMU) Manara-maso ny loharanon'ny famantaranandro (anatiny sy ivelany).
Cross triggering unit (CTU) dia mamela ny fampifanarahana ny fiovam-po ADC miaraka amin'ny hetsika famerana avy amin'ny eMIOS
na avy amin'ny PIT
Deserial serial periferika interface tsara
(DSPI)
Manome interface serial synchronous ho an'ny fifandraisana amin'ny fitaovana ivelany
Module sata fanitsiana diso
(ECSM)
Manome asa fanaraha-maso isan-karazany ho an'ny fitaovana ao anatin'izany
fampahalalana hita amin'ny programa momba ny haavon'ny fanamafisana sy ny fanavaozana, ny sata reset
fisoratana anarana, fanaraha-maso fifohazana amin'ny fivoahana amin'ny fomba fatoriana, ary ireo endri-javatra azo atao toy ny
fampahalalana momba ny hadisoana fitadidiana notaterin'ny kaody fanitsiana diso
Fidirana fahatsiarovana mivantana nohatsaraina
(eDMA)
Manao famindrana angon-drakitra sarotra miaraka amin'ny fidirana kely indrindra avy amin'ny processeur mpampiantrano
amin'ny alàlan'ny fantsona "n" azo programa.
Fampidirana modular nohatsaraina
rafitra (eMIOS)
Manome ny fiasa hamoronana na handrefesana hetsika
Flash fahatsiarovana Manome fitahirizana tsy miovaova ho an'ny code program, constants ary variables
FlexCAN (tambajotra faritra mpanara-maso) dia manohana ny protocol CAN mahazatra
Frequency-modulated
Fase-mihidy loop (FMPLL)
Mamorona famantaranandro rafitra haingam-pandeha ary manohana matetika ny fandaharana
Fanovaovam-peo
Multiplexer anatiny (IMUX) SIU
subblock
Mamela ny fanaovana sarintany moramora amin'ny seha-pifaneraserana periferika amin'ny tsimatra samihafa amin'ny fitaovana
Bus inter-integrated circuit (I2C™) Fiara fiara fitaterana anankiroa roa tariby roa izay manome fomba tsotra sy mahomby amin'ny
fifanakalozana angona eo amin'ny fitaovana
Controller interrupt (INTC) dia manome fandaharam-potoana mialoha ny fiatoana mifototra amin'ny laharam-pahamehana
JTAG controller Manome fitaovana hitsapana ny fiasan'ny chip sy ny fifandraisana raha mbola tavela
mangarahara amin'ny lojika rafitra rehefa tsy amin'ny fomba fitsapana
LINFlex controller Mitantana hafatra LIN (Local Interconnect Network protocol) marobe
mahomby miaraka amin'ny enta-mavesatra CPU kely indrindra
Module famokarana famantaranandro
(MC_CGM)
Manome lojika sy fanaraha-maso ilaina amin'ny famokarana rafitra sy periferika
famantaranandro
Mody fidirana maody (MC_ME) Manome mekanika hifehezana ny fomba fiasan'ny fitaovana sy ny fomba fiasa
filaharan'ny tetezamita amin'ny fanjakana miasa rehetra;mitantana ihany koa ny rafitra fanaraha-maso herinaratra,
Reset Generation Module sy Clock Generation Module, ary mitazona ny
configuration, fanaraha-maso ary rejistra sata azo idirana ho an'ny fampiharana
Unity fanaraha-maso herinaratra (MC_PCU) Mampihena ny fanjifana herinaratra amin'ny alàlan'ny fanapahana ny ampahany amin'ny fitaovana
avy amin'ny famatsiana herinaratra amin'ny alàlan'ny fitaovana fanodinana herinaratra;ny singa fitaovana dia
mivondrona ho fizarana antsoina hoe “domains power” izay fehezin'ny PCU
Avereno indray ny module generation
(MC_RGM)
Mametraka loharanon'ny reset ary mitantana ny filaharan'ny famerenan'ny fitaovana.


  • teo aloha:
  • Manaraka:

  • Avelao ny hafatrao

    Vokatra mifandraika

    Avelao ny hafatrao